欢迎光临江南竞技官方网站!
语言选择: 中文版 ∷  英文版

谈谈「Chiplet」

时间: 2024-03-12 18:00:55 |   作者: 法兰盘

  周末静下来,可以整理一些近期项目和投资的思考。一直试图尝试理解Chiplet这波热潮,也试图回答设定的一些财务投资机构或者产业投资机构的疑问,在Chiplet技术日新月异的发展阶段该如何参与?是否会像刘润在2022年度演说的时候所讲到的,“而最后的成功者,也许还没有入场”?

  (图文主要是为了记录思考和交流,因此对于排版和美工美化并没过多修饰,敬请谅解。)

  资料来源:《刘润年度演讲2022:进化的力量(演讲全文)》,2022年11月。

  Chiplet被视为中国大陆“换道超车”的一项新技术。Chiplet理念理解起来并不复杂,就是硅片级别的“解构-重构-复用”。从系统端出发,先将负责功能进行分解,然后开发出多种具有单一特定功能、可以相互进行模块化组装的裸芯片(即经常提到的die),如实现数据存储、计算、信号处理、数据流管理等功能的裸芯片,并最终以此为基础建立一个Chiplet的芯片网络。Chiplet通过某些渠道或者介质,对接封装起来,就是Die-to-Die技术。Chiplet将满足特定功能的裸芯片通过Die-to-Die内部互联技术,实现多个模块芯片与底层基础芯片的系统封装,实现一种新形势的IP复用。换种说法,基于裸片的Chiplet方案将传统SoC划分微多个单一功能或者多功能组合的芯粒,在一个封装内通过基板互联成为一个完整的复杂功能芯片,是一种以裸芯片形式提供的硬核IP。

  此外,在工艺节点不断推进下,制程升级对芯片性能提升的边际收益缩窄,通常在15%左右,而先进封装技术迭代速度目前看到的情况是超过制程和工艺端。

  常规的半导体芯片一般都是2D平面工艺,一层膜、一层图案、一层介质来实现特定功能,最终形成具备一些功能的芯片。后来芯片为实现集成度更高,就会在一个裸芯片(die)上设计多个模块功能,比如包括负责计算的部分,通常是数字电路,也有设计负责I/O的部分,通常是模拟电路,这些模块都在同一片die上面设计好,因此芯片面积会较大,同时为了追求芯片性能,厂商们都希望使用先进制程,5nm、3nm、1nm,越小越好,但是越小制程难度越高成本越高,叠加中美“贸易摩擦”和美国的策略一直在升级,不是所有厂商都能够正常的使用5nm以及以上制程。

  其实对于芯片,数字电路部分使用先进制程可以显著提升运算性能,但是模拟电路部分采用极为先进的制程可能意义并不大,甚至有些浪费,所以模拟电路部分采用成熟制程就性价比很高。基于以上分析,本来一个较大的die,可以切割为多块功能区域,然后数字电路部分使用先进制程,模拟电路部分使用成熟制程,这样简化设计,同时提升先进制程的利用率,I/O模块也变得更经济实用。

  先进封装和Chiplet不能够离开主流架构去看待。目前主流架构设计的具体方案可以划分为两大类型:其一,是基于功能划分多个Chiplet,通过不同的Chiplet组合封装,实现不同的类型产品。典型代表包括AMD Zen2/3。其二,单一Chiplet包含独立完整功能集合,通过多个Chiplet组合实现性能的线性增长,典型代表为Apple M1 Ultra以及Intel Sappire Rapids。苹果(Apple)方案通过自研封装技术堆叠两颗M1 Max芯片,两颗芯片间具备超过2.5TB/s带宽且极低延时的互联能力,使得M1 Ultra获得两倍算力,同时在软件层面将M1 Ultra作为完整芯片,从而不增加额外软件修改和调试负担。

  图表:历代苹果M1芯片内部结构图,M1 Ultra两枚M1 Max拼接而成

  目前支持Chiplet技术的主流底层封装技术目前主要是由台积电、日月光(ASE)、英特尔(Intel)主导,而三种方案中,英特尔主导的EMIB技术实现的集成度和制造良率更高,但EMIB需要封装工艺配合桥接芯片,技术门槛和复杂度更高。

  伴随摩尔定律逐步“失效”和后摩尔时代的到来,先进封测、Chiplet、“设计技术协同优化”等技术理念和实现路径创新层出不穷。产业投资如何在这样日新月异的科技浪潮中“自处”并不断产业价值链关键节点布局和投资“卡位”?是一个值得持续思考并探索的议题,而且时间推移和技术变迁,很可能此前的成功经验成为过去时。那么,对于投资机构,在半导体及集成电路产业如此严谨、“如履薄冰”、行稳致远的行业从业者的风格和独特气质的背景下,产业投资机构和从业者更需要不说哗众取宠的话,不干没有效益的事情,更需要严谨、务实、实事求是,并且投资观点和判断更不能随意或轻易被他人左右。

  扎根电子信息、半导体及集成电路的产业投资机构和从业者是别无选择的,首要目标就是干出可以回报LP和实现盈利、能够赚钱的投资目标,并且塑造一个秉承“严谨、务实、实事求是”、契合产业科技和技术工作者、工程师们风格的投资行业。

  谨慎看待Chiplet当下的热潮。Chiplet虽然是后摩尔时代重要的技术升级方向,二级长期资金市场收益的上市企业较多,包括芯原、长电、通富、华峰测控等等。但是目前市场期待的通过大规模采用技术互联等涉及28nm以及更高制程节点的芯片的生产,实现先进制程工艺的芯片生产制造的“换道超车”,某些特定的程度或可能仍然过于乐观。其一,该方案是在特殊监管环境下不得已的解决方案,从基本物理学定律定理的角度,能否实现先进工艺芯片同等性能要求仍然有待观察和市场验证。其二,类似方案在经济上或可能不具备竞争优势,即使商用可能也局限于设备等关键领域,总体市场规模很可能非常有限。产业投资机构和财务投资机构均需要理性看待,以及择机和选择合适机会。

  Chiplet技术的出现缘起于产业链在生产效率优化需求下的必然选择,技术核心在于实现芯片间的高速互联,因此UCIe在具体封装方式上未对成员做出严格限制,产业内也分化出两个阵营。晶圆厂阵营以大面积硅中阶层实现互联为主,可以提供更高速的连接和更好的拓展性;封装厂阵营则努力减少硅片加工需求,提出更廉价、更有性价比的方案,然后也在逐步与EDA/IP和设计等支持环节取得合作。晶圆厂和封装厂都有谋求在Chiplet时代、或者Chiplet“换道超车”这个选择是否是个正确选项?在此并不是排在第一位的议题,而是谋求Chiplet时代获得更高的产业链价值比则至关重要。

  基于中国大陆的封装和测试产业的积淀和深厚的优势、产业规模和集聚效能,是不是Chiplet对于中国大陆是一个好的备选方案还是“必由之路”?国内,长电科技推出TSV-less的先进封装方案XDFOI,引领产业发展;通富微电通过优秀的晶圆级封装能力,绑定AMD实现高速增长。站在投资视角,该如何下注到确定性更高的产业链环节中去?或者直接参与其中呢?

  Chiplet的核心在于实现芯片间的高速互联,晶圆厂、封装厂各自为政。UCIe联盟在具体的封装方式上未对成员做出严格限制,根据UCIe联盟发布的Chiplet白皮书,其支持市面上主流的四种封装方式。其中,晶圆厂阵营以硅中介层实现互联的方案为主,可提供更高速的连接和更好的拓展性;而封装厂阵营则努力减少硅片加工需求,提出更廉价、更有性价比的方案;可见,无论是晶圆厂还是封装厂,二者都谋求在Chiplet 时代获得更高的产业链价值占比,核心点在于芯片互联层的实现方式。一般来看,无论是哪种解决方案,可以在一定程度上完成的芯片间数据传输的低延迟、大带宽及数据传输的可靠性才是技术竞争的关键,同时方案的普适性、经济性也将深刻影响其长期的发展空间。

  实际上Chiplet最初的概念原型出自Gordon Moore1965年的论文《Cramming more components onto integrated circuits》;Gordon Moore在本文中不仅仅提出著名摩尔定律,也同时指出“用较小的功能构建大型系统更为经济,这些功能是单独封装和相互连接的”。2015年Marvell公司周秀文博士在ISSCC会议上提出MoChi(Modular Chip,模块化芯片)概念,为Chiplet出现埋下伏笔。现代信息技术产业高质量发展不单单是探索未知的过程,也是需求不断驱动技术升级,Chiplet技术的出现就是产业链在生产效率优化需求下涌现的潜在选择。

  可以看到,Chiplet的基础在于异构与高速互联共同塑造的融合。计算机可以依据一系列指令指示并且自动执行任意数学或逻辑操作串行的设备。日常生活中,我们所使用的任何电子系统都可以看作一个计算机,如:电脑、手机、平板乃至微波炉、遥控器等都包含了计算机系统作为核心控制设备。Chiplet出现离不开两个大趋势:其一,计算机系统的异构、集成程度慢慢的升高,其二,芯片间的数据通路带宽、延迟问题得到产业界的解决。

  (1)计算机系统的异构、集成程度越来越高。产业界是不是一定要选择Chiplet?中国大陆的产业界是不是一定会选择Chiplet?为便于理解,先可以从计算机体系结构的方面出发。首先,计算机体系结构的一个重要发展思路是异构计算,如同现代经济系统一样,现代经济系统为了追求更高的产出效率,产生了极为庞大且复杂的产业分工体系。计算机系统的再分工就是异构计算。GPU、DPU的出现就为了弥补CPU在图形计算、数据处理等等方面的不足,让CPU更加能够专注于逻辑的判断与执行,这就是计算机系统(System)。精细分工也使得总系统变得庞大,小型计算设备中只能够将不同的芯片集成到一颗芯片上,组成SoC(System-on-Chip)。

  伴随计算机在人类现代生活中承担慢慢的变多的处理工作,计算机体系结构的异构趋势愈发明显,需要的芯片面积也会慢慢的大,同时,需要诸如电源管理IC等芯片与逻辑芯片异质集成,而SoC作为一颗单独的芯片,其面积和加工方式却受到一定局限,所以SoC并不是异构的终极解决方案。

  (2)能够理解到的是,Chiplet使得芯片间的数据通讯、延迟问题得到产业界的解决。芯片的工作是执行指令、处理数据,芯片间的互联需要巨大的带宽和超低的延时。既然单颗芯片的面积不能够无限增加,将一颗芯片拆解为多颗芯片,分开制造再封装到一起是很自然的解决思路。芯片间互联需要构建强大的数据通路,即超高的频率、超大的带宽、超低的延时,以及台积电(TSMC)CoWoS技术为代表的先进封装技术使之某些特定的程度得到解决。

  资料来源:eet-China,长江证券研究所,芯估值分析整理,2022年11月。

  2022年3月,苹果(Apple)发布M1 Ultra芯片,其采用UltraFusion封装架构,通过两枚M1 Max 晶粒的内部互连。架构上,M1 Ultra 采用20核中央处理器,由16个高性能核心和4个高能效核心组成。与市面上功耗范围相近的16核CPU芯片相比,M1 Ultra的性能高出90%。两颗M1 Max的高速互联是苹果芯片实现领先的关键,苹果的UltraFusion架构利用硅中介层来连接多枚芯片,可同时传输超过10,000个信号,以此来实现高达2.5TB/s 低延迟处理器互联带宽。

  AMD为缓解“存储墙”问题,在其Zen 3架构的锐龙75800X3D台式处理器率先采用3D堆叠L3高速缓存,使CPU可访问高达96MB L3级高速缓存,大幅度的提高芯片运算效率。显然,作为一些产业投资机构或者市场化的财务投资机构,如果希望参与到Chiplet与先进封装浪潮中来,可能从台积电或者苹果、AMD等企业的角度是无法“着手”的。

  (3)异构集成+高速互联塑造Chiplet这一芯片届的里程碑。综上,Chiplet本身并非技术突破,而是多项技术迭代进步所共同塑造的里程碑,芯片有突出贡献的公司、晶圆代工等产业链重量级的“玩家”仍拥有话语权。因此,Chiplet技术短期内并不会给行业带来太多直接的影响和变化,但长久来看必将改变全世界集成电路行业生态。同时,由于Chiplet在设计、制造、封装等多个环节具备成熟的技术支撑,其推进也将十分迅速。

  明白选择Chiplet的必要性之后,就是如何用Chiplet、需要die和die之间的相互关联互通了。芯片之间的互联也需要协议,特别是对于先进封装,之前尚未有行业规定,每个芯片厂家设计的金属对接口的位置可能都不一样,适配性能如何就需要一个行业标准的出台。

  2022年3月出现UCIe,即Universal Chiplet Interconnect Express,中文可翻译为“通用Chiplet高速互联联盟”,是英特尔、AMD、ARM、高通、三星、台积电、日月光、Google Cloud、Meta和微软(Microsoft)等企业联合推出的Die-to-Die互联标准,其主要的统一是Chiplet(芯粒)之间的互连接口标准,打造开放性的ChipletECO。UCIe在解决Chiplet标准化方面具有划时代的意义。到2022年10月,已经成功商用的Die-to-Die协议多达十几种,大致上可以分为串行接口协议和并行接口协议,相比较而言,串行接口一般延迟比较大,而并行接口能做到更低的延迟,但也会消耗更多的Die-to-Die互联管脚。而且要尽量保障多组管脚之间的延迟一致,所以每个管脚不容易做到高速率。

  图表:典型的先进封装设计(基于西门子SIEMENS EDA XPD设计截图)

  UCIe成员分为三个级别:发起人、贡献者、采用者。发起人由董事会组成,并具有领导作用。贡献者和发起者公司能够参与工作组,而采用者只能看到最终规范并获得知识产权保护。UCIe董事会成员包括:台积电、三星、英伟达、英特尔、微软、Meta、日月光、Google Cloud、ARM、AMD、阿里巴巴、高通。

  其他一些上市企业包括,伟测科技,第三方测试行业优质提供商,伟测重点突破6nm-14nm先进制程芯片、5G射频芯片、高性能CPU、高性能计算芯片、FPGA、复杂SoC芯片等各类高端芯片的测试工艺难点。

  通富微电,VISionS方案,以及晶圆级TSV,利用次微米级interposer以TSV将多芯片整合于单一封装7nm量产,5nm完成研发即将量产的进度。通富微电深度绑定AMD,产业链布局全面,一站式服务涵盖齐全的封装类型;封装业务包含框架类封装,(例如SOT,SOP,QFN,DFN,LQFP,TO,IPM等)、基板类封装(WBBGA,WBLGA,FCBGA,FCCSP,FCLGA等),圆片类封装(Fan-in WLCSP,Fan-out WLCSP,Cu pillar bump,Solder bump,Gold bump等)以及COG,COF和SIP等,可大范围的使用在消费、工业、汽车类产品,包括高性能计算、大数据存储、网络通讯、移动终端、车载电子、人工智能、物联网、工业智造等领域应用。通富目前已完成建设国内顶级2.5D/3D封装平台(VISionS)以及超大尺寸FCBGA研发平台,完成高层数再布线技术开发。通富微电提供晶圆级以及基板级两种方案中的晶圆级TSV技术是Chiplet技术路径一个重要路线。

  长电科技,国内封装领先企业,TSV-less路线,主要是XDFOI方案,以2.5D无TSV为基本技术平台,具备成本优势,能轻松实现2D/2.5D/3D集成的4nm方案。在2.5/3D集成技术领域,长电科技积极推动传统封装技术的突破,并率先在晶圆级封装、倒装芯片互联、TSV等领域采用多种创新集成技术,以开发差异化解决方案。长电在2021年7月推出XDFOI全系列极高密度扇出型封装高密度异构集成解决方案。

  熟悉Chiplet,并且精准布局到Chiplet产业链的关键节点,难度的“痛点”攻克、可能是产业投资机构和财务投资机构的主要突破口。Chiplet的难度在于,切成die之后的封装工作量会加倍,精度、具体工艺等等都需要更精密的设备和仪器来保障生产,而很多环节又在欧美日韩厂商把控中。

  此外,做成芯片后的测试和原有芯片有所区别,叠加后的芯片就如同“黑匣子”,不容易查找测试异常。基于Chiplet的EDA设计和软件生态也需要跟进。传统的半导体及集成制造产业链环节都较为熟悉和普及的理解,基于Chiplet在生态早期、生态成长期、生态成熟期,很可能呈现着不一样的产业链格局。

  随着 Chiplet 技术生态不断延展和日趋成熟,中国大陆企业通过自重用和自迭代利用技术的多项优势,推动各个产业环节价值链重塑。产业价值链优质的投资布局标的在激增需求下获得崭新业绩增长空间,包括一级市场股权投资机会,存量企业的转型布局,新的初创企业与日剧增,一级二级长期资金市场的成熟、成长企业的投资机会也不断浮现。围绕 Chiplet 技术生态的 IP/EDA 、先进封装、半导体第三方测试、封测设备、 IC 载板和封装材料等优质标的都可能受益并实现价值重估。

  今天暂且码字到这里,其实思路和逻辑都有待更为清晰的理顺,也非常期待能够和更多的朋友们一同研究和学习,输出思考、交流探讨。

  12月9日,深圳南山,芯榜联合国投集团、亿欧智库,共同举办「2022芯榜半导体投融资论坛暨先进封测&Chiplet大会」,合作联系:。点击看详细内容:

  芯榜团队正在撰写《半导体先进封测与Chiplet白皮书》,向产业界征询企业专章,欢迎更多公司参与。联系微信:105887